DFT Interview preparation

Home > Course

DFT Interview preparation

About Course

 

Course content

  • Scan Insertion, need of scan insertion, scan DRCs, clearing Scan DRCs.
  • Scan Compression, need of scan compression, EDT architecture, deciding no. of internal chains and external channels.
  • Need of ATPG, fault simulation, fault classes, fault categories, fault models (SA, TDF, IDDQ, PDF), On-chip Clock Controller (OCC), different types of patterns, coverage analysis and improvement.
  • Simulations, it’s need and simulation mismatch debug.
  • JTAG, it’s need, TAP architecture, JTAG FSM, Boundary Scan.
  • IJTAG architecture, advantage of IJTAG over JTAG
  • Memory faults, algorithms, Tessent MBIST implementation and Architecture.
  • Hierarchical Scan, Scan Wrappers.

 

 
Unit NumberTopicDuration (Mnis)
1scan insertion, scan chain operation, need of scan insertion69
2Benefits of scan insertion, scan methodologies, types of scan cells61
3Clocks propagation need of OCC, Need of slow frequency clock during shift, Clock gating, Full Scan Vs Partial Scan82
4DRC in scan insertion, Clock controllability DRC, Set/Reset controllability DRC66
5Potential Race condition, Feedback Loop DRC, Bus Contention DRC, X-Source DRC64
6Potential Race condition, Feedback Loop DRC, Bus Contention DRC, X-Source DRC91
7Domain mixing problem and its fix54
8Scan insertion Flow, Practical Examples149
9Practical Examples80
10Latches, Shift Registers65
11Reports and Outputs of Scan Insertion62
12Scan Compression and its need, Dedicing no. of external channels and internal chains, Components of EDT65
13Decompressor40
14Compressor, Masking Logic29
15How patterns are loaded42
16Decoders (1-hot, x masking), EDT bypass63
17ATPG, Fault simulation, Defect, Fault, Error, D algo, Fault coverage, Test Coverage, Questions on Fault simulation, Coverage74
18Questions on Fault simulation44
19Advantage of connecting TE of ICG to SE, Advantage of declaring reset as a clock, Fault Aliasing42
20Untestable, Testable (Detected, Posdet)49
21Testable (ATPG Untestable)76
22Testable (Undetected)37
23ATPG Flow, Practicals52
24Practicals (Coverage Analysis and Improvement)60
25Transition Delay Fault Model50
262 ways to detect TDF (LOC, LOS)22
27Why we can expect only 80-85% coverage for TDF58
28Path Delay Fault Model, IDDQ Fault Model, Practicals102
29Fault Categories, ATPG for bypass, Possibility of getting Tracing violation63
30Pattern Classification42
31On-chip clock controller (OCC)69
32Simulation90
33Simulation Mismatch Debug65
34JTAG96
35Boundary Scan91
36Memory Faults, Memory Algorithms80
37IJTAG Tessent MBIST implementation MBIST Architecture Grouping of Memories63
38MBIST insertion, Simulation Practicals62
39MBIST run output files explanation31
40EDT OCC insertion practicals explanation, CoreA level IJTAG network explanation29
41Synthesis, Scan Insertion (CoreA level) Wrappers, Graybox Generation132
42ATPG, Simulation, MBIST patterns on netlist (CoreA level)25
43CoreB level labs,Mbist insertion,simulation,EDT OCC insertion,scan, ATPG, Simulation,Pattern re-targetting, MBIST71
44Advantage of IJTAG,Level 4 projects MBIST insertion, pin mux logic68
45Static Timing Analysis (STA) questions47
46Reasons for MBIST simualation mismatch debug and fixes38
47(DFT_ADV_OCT batch)advantages of IJTAG over JTAG137
Curriculum

Interview preparation
.vimrc
Interview preparation
Interview preparation
Interview preparation
Interview preparation
Interview preparation
Interview preparation
Interview preparation

Benefits of eLearning?
  • Access to the Instructor - Ask questions to the Instructor who taught the course
  •  
Course Instructor
  • Dedicated Trainer Accessible On Phone / Email / Whatsapp
  • Trainer Exp: 15 Years

Price - ₹6,000 + GST

₹6,700    (10% Off)

10 hours left to avail at this price

Edit Template

Course Highlights

Edit Template

TESTIMONIALS

What Our Students Says About Inskill

FAQ

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.