DFT Training

Home > Course

DFT Training

About Course

DFT(Design for Testability) involves using SCAN, ATPG, JTAG and BIST techniques to add testability to the Hardware design. These techniques are targeted for developing and applying tests to the manufactured hardware. There tests in turn help catch manufacturing defects like stuck at 0, 1 faults, and transition delay faults etc.

DFT Training will focus on all aspects of testability flow including DFT basics,  various fault types, SOC Scan Architecture, different scan types, ATPG DRC Debug, ATPG Simulation debug, and DFT diagnosis. DFT Training course will also focus on JTAG, MemoryBIST, LogicBIST, Scan and ATPG, test compression techniques and Hierarchical scan design.


As part of DFT Training, a complex design example with variety of memories spread around the design used as a reference for learning all testability. While MBIST used to test memories. Boundary scan is a requirement for designs, used to control the MBIST controllers that are created to minimize the need for having extra external pins to run the memory tests. ATPG test patterns will be created for various different fault models like stuck-at, transition delay, path delay fault models. Various TestKompress techniques will be used to compress test patterns to ensure patterns can be applied on minimal number of IO pins used during test. Compressed test patterns will run more quickly on the production test floor and require less tester memory. Patterns are validated through Simulations.
DFT Training course is designed as per the current industry requirements with multiple hands on projects based on Scan, ATPG, JTAG and MBIST. DFT Training will help student with in-depth knowledge of all testability techniques. Hands-on project will involve creating large number of test cases for various aspects like Scan insertion, Compression, JTAG and ATPG pattern generation using Tessent tool. More importance is given to basic concepts, interaction sessions, hands-on, important notes and assignments.
MentorGraphics Tessent tool is used for training. As per industry survey, it is used by more than 80% companies for DFT. Student will have access to tool at the institute for 12 months after course completion, with a provision to extend beyond.

Demo Videos
Unit NumberTopicDuration (Mins)
1What is DFT Manufacturing Defects, Why Testing Major Challenges in DFT52
2Fault Models, Types of Test Logic Insertion41
3Assignment Questions18
4Difference between pin, port and pad & clock gating39
5Disadvantages of clock gating cell (and gate), Integrated clock gating cell (ICG)25
6Need of DFT47
7Yield, Defect, Fault and error & DFT architecture73
8Scan Insertion69
9Calculating over all Test time post scan insertion21
10Full sacn vs Partial scan, Types of scan cells51
11Comparision of various scan cells, Scan chain operation42
12Problems with scan designs22
13Clock controllability DRC115
14Assignment answers discussions24
15Reset controllability DRC26
16Tristate buffer DRC, potential race condition44
17X source DRC, Feedback loop DRC30
18Deciding the no.of scan chains and scan chain length23
19Bus contention, Top down apprach, Bottom up appraoch27
20Inputs and outputs of scan, Scan chain reorder56
21Edge mixing and domain mixing46
22Practical Explanation of scan insertion25
23Pre-existing scan chains22
24Scan compression and its need87
25Decompressor, Compression ratio, Compressor18
26Bypass, Pipeline flops in compressor63
27EDT waveform, masking logic29
28Lock up latches, EDT insertions in hierarchical scan23
29EDT DRCs20
30Methods to fix DRCs, ATPG introduction38
31D algorithm8
32Other algorithms, Fault model29
33Fault categories40
34Coverage, Untestable faults, Flow of ATPG46
35Fault classes55
36ATPG Untestable (AU) class62
37Other AU faults, Untestable faults11
38Assignment answers discussion and doubt clarrifications30
39Patterns classification chain, serial, parallel16
40Pattern classification (based on format and category)73
41ATPG practicals33
42Simulations46
43Practicals of ATPG TDF and simulations87
44Simulation mismatch debug36
45Doubt clarifications, Assignment questions27
46OCC part 167
47OCC part 212
48Doubt clarification and assignment discussions12
49Doubt clarification, Assignment discussions63
50ATPG IDDQ theory8
51IDDQ lab17
52Path Delay Fault Model (PDF)53
53JTAG introduction, Boundary Scan20
54Instructions (Mandatory + Optional)17
55JTAG network, comparison between various standards20
56BSDL33
57Assignment answers discussion21
58JTAG TAP architecture58
59JTAG TAP Controller (16 state FSM)23
60Memory Basics, Memory architecture16
61Memory Faults52
62Memory Faults (Contd...)15
63Zero-One algorithm and Checkerboard algorithm14
64March Algorithms (MATS, MATS+, MATS++, MarchX, March C)28
65Algorithms supported by mentor tools56
66Tessent MBIST25
67Comparision between JTAG and IJTAG29
68TSDB flow explanation80
69Lab MBIST insertion66
70TSDB output directory explanation, MBIST simulations58
71Lab64
72Scan Wrappers84
73Lab3 (MBIST, EDT OCC insertion)21
74Lab3 (Scan, ATPG, Simulation, MBIST patterns on netlist)21
75Lab4 (MBIST, EDT OCC insertion)37
76Lab4 remaining topics50
77Level 4 projects THY50
Curriculum

ASIC & VLSI Design Flow
Session covering complete flow overview from product requirements to Post silicon validation.
Advanced Digital Design
2 weeks dedicated course focusing on all aspects of Digital design.
www.vlsiguru.com/digital-design-complete
Verilog programming basics
3 Weeks of Verilog training covering all the aspects of Verilog required for DFT engineer
This course is done in parallel with Advanced Digital design course
Linux OS
1 week training on Linux OS and hands on
TCL Scripting
1 week training on TCL scripting for flow automation
DFT Basics
SoC Scan architecture overview
Types of Scan
ATPG DRC Debug
ATPG Simulation Mismatch Debug
DFT Diagnosis
JTAG
MemoryBIST
LogicBIST
Scan and ATPG
Test compression technigues
Hierarchical Scan Design
Full SOC flow - DFT
DFT Architecture and Basics
Test Plan
Different DFT schemes
Comparison between Functional and DFT Vectors
Understanding of SCAN Insertion
Scan methodology
Types of Scan
Top-down and Bottom-up Approach
Scan insertion Flow
Scan insertion Scripts
Multiple Clock domains
Design Rule Checking
Pre-DRC and Post DRC
Lock up and Terminal lockup latches
Hands-on Scan insertion
Assignments
Introduction to compression
Compression Architecture
Decompressor and Compactor
Compression Ratio
DRC Analysis
Modular Compression
X-Masking logic
Hands-on Compression
Assignments
Scan insertion with compression
On-chip clocking for at-speed testing
Hierarchical Scan Design
Bypass mode
Hands on Scan and compression
Interaction session scan and compression
Memory faults
Algorithms
Diagnostic mode
ATPG Overview
Different types of Faults
Types of fault models
DRC analysis
Test Coverage and Fault Coverage
Coverage improvement Analysis
Chain and Capture patterns
Assignments
Simulations- No-timing and Timing simulations
At speed fault model (In detail)
Understanding Transition fault ATPG
Two pulse generator
Test procedure
Launch on capture and Launch on Shift
Top-off Pattern generation
Path delay
Introduction to JTAG
JTAG State Machine
Boundary Scan
Different instructions
Industry Standard Project
Introduction to LBIST
SPYGLASS
Revision
Mock Interview

Benefits of eLearning?

 

  • Access to the Instructor - Ask questions to the Instructor who taught the course
  • Available 24/7 - VLSIGuru eLearning courses are available when and where you need them
  • Learn at Your Pace - VLSIGuru eLearning courses are self-paced, so you can proceed when you're ready
Course Instructor
  • Dedicated Trainer Accessible On Phone / Email / Whatsapp
  • Trainer Exp: 15 Years

Price - ₹45,000 + GST

₹50,000    (10% Off)

10 hours left to avail at this price

Edit Template

Course Highlights

Edit Template

TESTIMONIALS

What Our Students Says About Inskill

FAQ

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.