Physical design Interview preparation

Home > Course

Physical design Interview preparation

  • Course content
  • ·        Covering 1200+ questions on Physical design, Synthesis, STA
  • o   Mostly real time design related questions are covered
  • ·        Questions based on CMOS, Layout and Fabrication
  • ·        Questions Based on Different inputs during each step of
  • Physical design and sanity checks
  • ·        Questions based on Static timing Analysis.
  • ·        Questions based on Fixing issues after each physical design
  • ·        Lower Technology issues and fixing
  • ·        Signal integrity issues and fixes
  • ·        Analysis of timing reports and QoR reports after each stage of physical design using TCL and UNIX .
  • ·        Questions related to Synthesis, UPF and SDC
  • ·        IR Drop and Electromigration questions
Unit NumberTopicDuration (Mins)
1synthesis and its files12
2.db file discussion34
3.mw and .ndm file discussion8
4.lef file discussion7
5flow of synthesis16
6difference between compile and compile_ultra steps involved in compile ultra16
7scan-chain discussion9
8outputs for synthesis9
9App_var to load logical lib5
10Discussion on timing analysis and its optimization in synthesis5
11DRVs optimization and synthesis flow performed in the tool7
12lib updation required9
13Discussion on gate level netlist19
14violations and fixes example27
15Discussion on checks after synthesis28
16Models for RC extraction9
17Discussion on SDC files contents of sdc18
18Discussion on types of clocks7
19Discussion on delays and timing paths37
20Discussion on timing exceptions11
21Questions on thinking20
22Discussion on constraints4
23Calculation of input and output delay8
24Main purpose of virtual clock7
25Discussion on Floorplan core and die area creation15
26port_placement macro_placement discussion18
27physical only cells sanity checks discussion13
28keepout placement blockages20
29Bounds guides discussion16
30spare cells8
31Questions-Discussion (a) sanity_checks and utilization9
32Questions-Discussion (b) core and die area29
33Questions-Discussion (c) ESD and macros17
34Basic cmos & input files .lib8
35Basic cmos & input files .upf and other input files38
36initialize floorplan22
37powerplan18
38checks in powerplan lab17
39Question discussion - power analysis18
40Question discussion - upf & power reduction techniques25
41Question discussion - different flavour & types of cells11
42Some realtime challenges faced6
43power_plan flow18
44power_planning at full chip level and block level20
45power_rings12
46How different height cells getting power and IR drop12
47EM violations & hotspots18
48Fixing of rails and macro pin violations10
49Sta concepts37
50Why hold is given more importance after cts17
51HVT, RVT and LVT cells32
52virtual and generated clock23
53Max tran and max cap & pvt condition36
54Modes, Corners and Scenarios12
55Timing Exceptions - different cycle paths17
56PBA & GBA mode14
57DRVs {max_tran, max_cap, max_fanout}29
58path grouping17
59fix for setup and hold at each stage33
60Derate17
61STARRC extraction crpr25
62Prime Time Inputs5
63Crosstalk Noise26
64crosstalk delay11
65How to reduce crosstalk12
66Crpr in crosstalk8
67Useful skew methods6
68Time borrowing13
69CCD flow10
70How RC value is calculated4
71ECO flow for the timing19
72Physical and logical aware eco & bottleneck cell9
73Some Summarised questions26
74Placement_blockage15
75keepout margin and congestion18
76Path grouping and Bounds18
77placement18
78tool aspect place_opt13
79banking & debanking15
80Questionaire & legalization4
81coarse_placement & zic timing16
82different app options used for placement11
83cell-density10
84pin-density19
85routing-congestion22
86HFNS6
87Load splitting & clonning & DRVs10
88global virtual routing & magnet placement7
89bound & multibit banking, upsizing and inserting buffer command6
90setup fixes after placement4
91path grouping5
92sanity checks after placement2
93saif file7
94timing driven placement1
95What is CTS9
96Inputs for CTS17
97How tool perform CTS22
98Why there is a need of more routing resources in 1 metal layer5
99CTS.tcl24
100Checks before CTS21
101report clock qor12
102Why clock nets are given more priority6
103Skew group, ndr, clk buffers14
104Miscellaneous questions on cts26
105slew & shielding4
106why we solve setup before cts and hold after cts4
107NDR & uncertainity20
108which design is more complicated10
109clock tweaking & clock gating14
110Difference between HFNS and CTS5
111checks ,fixes and reports after cts14
112pulse-width vio15
113clock gating vio3
114setup & hold7
115multipoint cts7
116Crprcppr3
117doubt discussion27
118routing15
119Inputs for routing9
120pre-routing checks24
121physical verification7
122Inputs for PV and violations15
123DRC14
124Antenna effect12
125double patterning16
126routing practical9
127global routing & pitch7
128search & repair and routing blockage5
129checks after routing12
130buffer on route14
131import design17
132floorplan and port placement69
133macro placement53
134powerplanning26
135placement133
136cts42
137IR drop13
138Types of power dissipation19
139Role of Redhawk team14
140Ses 15 P 4 Input files required for Redhawk checks8
141APL & ATL - (Appache power library) & (Appache Tech Library)30
142CMM model11
143fsdbvcd file10
144timing window file16
145true time non true time fsdb10
146Inputs for redhawk30
147time_window SDF {Standard delay format}14
148Different types of analysis26
149Dynamic vectorless analysis16
150Dynamic vectored analysis19
151What results are analyzed after each analysis17
152What are fixing method for more IR drop12
153LVS checks on clock pins after cts52
154Skew group based question11
155when to insert level shifters17
156whether all clock pins has clock gating cells or not50
157applying keepout margin around the cell29
158Doubt Questions26
159How to report nets having drc violations60
160Script writting to get the ff which is not having clock gating cells46
161Miscellaneous Questions discussion32
162Antenna diode13
163Insert buffer near a pin48
164Doubts14
165antenna violation11
166How to insert buffer29
167Antenna diode insertion44
168Why DRC is checked in a seperate tool again21
169Why we cant do base level drc check in icc2 or innovus4
170Input files required for PV8
171How to generate final merged GDS18
172What are different DRC checks23
173LVS19
174Outputs of LVS11
175ERC8
176Double patterning Rule check15
177ADDITIONAL MISCELLANEOUS QUESTIONS103
178ADDITIONAL MISCELLANEOUS QUESTIONS - 2107
179ADDITIONAL MISCELLANEOUS QUESTION SES3 29DEC202392
Curriculum

Interview preparation
.vimrc
Interview preparation
Interview preparation
Interview preparation
Interview preparation
Interview preparation
Interview preparation
Interview preparation

Benefits of eLearning?

 

  • Access to the Instructor - Ask questions to the Instructor who taught the course
  • Available 24/7 - VLSIGuru eLearning courses are available when and where you need them
  • Learn at Your Pace - VLSIGuru eLearning courses are self-paced, so you can proceed when you're ready
Course Instructor
  • Dedicated Trainer Accessible On Phone / Email / Whatsapp
  • Trainer Exp: 15 Years

Price - ₹6,000 + GST

₹6,700    (10% Off)

10 hours left to avail at this price

Edit Template

Course Highlights

Edit Template

TESTIMONIALS

What Our Students Says About Inskill

FAQ

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.