Physical Design Training with hands on ICC2 projects

Home > Course

Physical Design Training with hands on ICC2 projects

About Course

Physical Design Training is a 4 months course (+1.5 months for freshers covering Device fundamentals, IC fabrication, timing concepts. advanced digital design, analog design basics, and UNIX OS) structured to enable aspiring engineers get in-depth knowledge of all aspects of Physical design flow from Netlist to GDSII including Floor planning, Placement, power planning, scan chain reordering, global routing, clock tree synthesis, power analysis and ECO. Course also involves multiple hands on projects using Synopsys Implementation flow(DC, ICC II, Star RC, PT, ICV). It is among widely used PnR flow in industry.

Physical Design training program is well illustrated and supported with real-time examples from the industry. Floorplanning, Placement and Routing, Clock Tree Synthesis, Final Routing and Timing Closure forms the core of the Physical Design Training program structure. Thorough and micro level wide understanding of the concepts across all the Physical Design flow would be the key highlight of this program. Complete Theory Sessions and complementing Lab Sessions with projects (Block level and Full chip level) from Netlist to GDSII, guided well by expert trainer are offered for every candidate of this Physical Design Training program.

Physical Design Training is supported using 15+ assignments covering all aspects physical design implementation flow including practical aspects. Assignments are detailed and well structured to cover all the aspects of Physical Design. These assignments will solved as part of course lectures. Student will have 4 months access to tool from date of course registration.
VLSIGuru Institute is setup in 2012, helped 1000+ students find right career opportunities. VLSIGuru offers affordable Physical Design Training in Bangalore and Noida. Course will Online Physical Design Training is offered for students based out of Bangalore.
Below are the Physical design Training topics.
Netlist to GDSII flow :
Initial Design Setup
Importing design

Floorplanning
Power Planning
Placement
Scan chain re-ordering and re-partitioning
Global Routing
Clock Tree Synthesis
Detailed Routing
Power Analysis (static and dynamic)
Engineering Change Order flow (ECO)
Design For Manufacturability

Demo Videos
Unit NumberTopicDuration (Mins)
1Introduction to digital system25
2Number system introduction and Radix conversion61
3Compliments of the number systems and 1 s and 2 s Compliments93
49 s and 10 s Compliments, 7 s and 8 s Compliments and 15 s and 16 s Compliments65
5Gates and its truth table and Why NAND is preferred over NOR gate72
6NAND and NOR Realization67
7SOP and POS form, minterm, Maxterm canonical SOP and POS form56
8Boolean equations Switching equations26
9Boolean minimization techniques and K -map(2-variables,3-variables,4-variables) and Logisim tool introduction100
10Implicants, PI, EPT, NEPT and RPI55
11K -map(5-variables,6-variables)26
12K -map with don t care functions27
13Building of combinational logic circuits (code converters)50
14Code converters continues43
15Arithmetic circuits (HA, FA and Parallel Adder)64
16Subtractors using compliments (HS, FS)62
17MSI circuits (Multiplexers) and Gates using Muxs67
18Boolean function Implementation using Mux53
19FA using Mux and Mux tree62
20Demultiplexers42
21Decoders41
22Decoders configurations and priority encoders62
23Comparators57
24Introduction to sequential logic ckts, Basic storage element (NOR latch)69
25NAND latch45
26Clocked SR latch, Clocked D latch, Clocked JK latch, Clocked T latch, Racing problems84
27Master-Slave combination and Edge triggering Flip flops73
28Revision of latch, Clocked SR latch, Clocked D latch, Clocked JK latch, Clocked T latch, Racing problems59
29Master-Slave combination and its limitations7
30Edge triggering and its advantages51
31Asynchronous inputsOverriding inputs of Flip flops, Characteristic equations and Excitation table of Flip flops65
32Flip flop conversions18
33Applications of the Flip flops (Counters - Asynchronous up and down counters)68
34Asynchronous Mod-N counters60
35Asynchronous updown counters, Timing considerations of the flip flops and limitations of the Asynchronous counter51
36Design of synchronous counters87
37Registers, shift registers and its configurations, universal shift registers62
38Counters based on shift registers (Ring and Johnson counters)51
39Frequency divider circuits101
40Frequency multiplier and Edge detector circuits36
41Introduction to FSM, Implicit and Explicit FSM63
42FSM sequence detector of melay and moore model102
43Problems on FSM82
44Assignment discussion34
45Synchronizers to change the pipelining.25
46Synchronizers to change the pipelining.102
47FSM-Mealy and moore problems,doubt discussion26
48D Flip flop using transmission gate165
49ASIC FLOW SES1171
50ASIC FLOW SES2148
51ASIC FLOW SES3170
52ASIC FLOW SES4105
53UNIX SES1125
54UNIX SES2140
55UNIX SES3127
56UNIX SES450
57UNIX SES5172
58UNIX SES6168
59UNIX SES7156
60UNIX SES8116
61UNIX LAB SES87
62UNIX LAB SES129
63LINUX GVIM SES32
64Need for Transistor?25
65How MOSFET function?36
66Classification of solids. What makes semiconductor special?26
67Intrinsic and Extrinsic semiconductors33
68PN Junction diode20
69Applications of Diode52
70BJT62
71FET basics54
72MOSFET: PMOS, NMOS, CMOS60
73CMOS fabrication102
74CMOS short channel effects12
75CMOS leakage currents85
76FinFET20
77TCL Cygwin usage basics20
78TCL traning overview, Agenda40
79Introduction to TCL58
80How to run the TCL program50
81Formal syntax of the TCL37
82set, puts and gets commands87
83TCL Operators58
84TCL Control statements63
85TCL Control statements (if and for statements)31
86TCL Control statements (while statements)30
87TCL Control statements (switch and foreach statements)24
88TCL strings and its operators68
89Strings operators Conti...64
90Examples of Strings operators Conti...55
91Programs on TCL Strings operators26
92TCL Lists55
93Programs on TCL Lists61
94TCL special variables30
95Programs on TCL special variables35
96Programs on TCL special variables Conti...54
97TCL File handling operations59
98Programs on TCL File handling operations56
99Programs on TCL File handling operations Conti...82
100TCL Procedures and Programs on TCL Procedures50
101TCL Procedures and Programs on TCL Procedures Conti...52
102TCL Procedures and its parameters47
103TCL Arrays47
104TCL Associative Arrays33
105Programs on TCL Arrays105
106TCL Dictionary48
107TCL Regular expression48
108Programs on TCL Regular expression46
109Programs on TCL Regular expression Conti...46
110Programs on TCL Regular expression Conti...92
111PD BASICS SES1120
112PD BASICS SES2167
113PD BASICS SES3140
114PD BASICS SES4105
115PD BASICS SES5132
116PD BASICS SES6113
117PD BASICS SES7110
118PD BASICS SES870
119fkf Introduction to digital system10
120ASIC Design flow149
121Factors affecting delay and Power in CMOS126
122Input file - .lib file106
123Input file - .lef file73
124Input file - .tf and tlu+ file75
125Netlist and Hireachial design90
126Creating Core area and Die Area92
127Port Placement43
128TCL Program for Port Placement using Different Commands98
129Macros and Type of Macros121
130Macro Placement Guide lines47
131Blockages and Keepout Margin31
132Power Reduction Techniques - Multi Voltage design37
133Power Reduction Techniques - Multi Vt Cells10
134Power Reduction Techniques - Clock gating Design14
135UPF For Multivoltage design87
136Power Reduction Techniques - Power gating design71
137End cap cells35
138TAP cells , TIE Cells DCAP and Spare cells85
139Power Planning92
140Sanity Checks at each stage and basics of timing184
141Setup and Hold Analysis57
142In to reg and reg to out path discussion141
143PBA and GBA paths115
144Timing Exceptions67
145Uncertainity , Skew , transition and Driving cell82
146Placement goals and checks before placement stage93
147Inputs to placement and Placement steps120
148Placement Optimization Techniques189
149CTS and Clock gating cells123
150CTS spec and Clock tree exceptions98
151CTS steps and CRPR141
152Routing130

 

Curriculum

Specification
RTL coding, lint checks
RTL integration
Connectivity checks
Functional Verification
Synthesis & STA
Gate level simulations
Power aware simulations
Placement and Routing
DFT
Custom layout
Post silicon validation
Conductors, Semiconductors, Insulators
Intrinsic and Extrinsic Semiconductors
Diode
BJT
MOSFET (NMOS, PMOS, CMOS)
FinFET
Device Fabrication
Significance of above aspects with Physical Design flow
Shells
File and directory management
User administration
Environment variables
Commonly used commands
Shell scripting basics
SEd and AWK
Revision management
Makefiles
Digital Design basics
combinational logic
sequential logic, FF, latch, counters
Memories
Setup time, Hold time, timing closure, fixing setup time and hold time violations
STA basic concepts time, Hold time, timing closure, fixing setup time and hold time violations
www.vlsiguru.com/digital-design-complete
Introduction to all the majorly used keywords on PD flow
VLSI Technology concepts
Resistance, Capacitance, Inductance
Parasitic capacitance
L-C-R circuit analysis
RC circuit significance with circuit delay
Clock distribution concepts, skew
Introduce TCL
Why TCL?
TCL Script Processing
Understand TCL uses and strengths
Writing simple TCL scripts
TCL for VLSI scripting
TCL : Main Features
TCL in EDA
TCL shell (tclsh)
Working with TCL scripts (UNIX)
TCL Interpreter in SoC Design Tools
TCL Scripting for SoC Design
TCL Commands
Variables
Substitution and Command Evaluation
Operators
Mathematical Functions
Procedures
Control flow : if, if-else, switch, for, foreach, while, break and continue
string, string operations
List, List manipulation
Arrays, array methods
Working with files
Command line arguments
Regular expressions
Complete TCL Scripts
TCL Packages
Basics of Synthesis
High Level Synthesis Flow
Reading of Verilog RTL File
Target and Link Libraries
Resolving References with Link Libraries
Reading hierarchical Designs
Reading ddc design
Analyse & Elaborate Commands
Constraining and Compiling RTL
Post Synthesis Output Data
Constraining Register to Register Paths
Constraining Inputs Paths
Constraining Outputs Paths
Virtual Clock
Load Budgeting
Default Path Groups
Creating User-defined Path Groups
Prioritizing Path Groups
Timing Reports
Analyzing Timing Reports
Defining a Clock with additional options
Input Delay with additional options
Output Delay with additional options
Pre-CTS versus Post CTS Clock Latencies
Independent IO Latencies
Output Delay with Network Latency
Output Delay with Source Latency
Different IO versus Internal Latencies
IO Clock Latencies
Handling Different IO Vs Internal Latencies
Virtual External Clock Latencies
Included External Clock Latencies
Multiple Synchronous Clocks
Multiple Clocks Input Delay
Maximum Internal Input Delay
Multiple Clock Output Delay
Maximum Internal Output Delay
Inter Clock Uncertainty
Generated Clocks
Mutual Exclusive Synchronous Clocks
Logically Exclusive Clocks
Multiple Clocks per Register
Cross Talk Analysis
Asynchronous Clocks
Multi Cycle Paths and Constraints
High Level Multi-Voltage Design Concepts
Supplies and Power Domains
Power Ports and Nets
Level Shifters
Power States and PS Table
IC Compiler II Library Manager
ICC Compiler II NDM Cell Library
Cell Library Characteristics
Library Manager Flow
Tech Only NDM Library
Technology-Only Library Flow
Technology File
Read TLU+ Files
Tech Library Preparation
Top Level, Sub-System Level and Block Level Design Setup
Set up initial Design Implementation
Loading Netlist from Synthesis
Setting Path to dotlibs, LEFs, DEFs (if needed), Technology Files, SDC files
Flow Setup and Design Setup
Loop-back to Synthesis for Correlation issues correction
Top Level, Sub-System Level and Block Level Design Setup
Set up initial Design Implementation
Loading Netlist from Synthesis
Setting Path to dotlibs, LEFs, DEFs (if needed), Technology Files, SDC files
Flow Setup and Design Setup
Loop-back to Synthesis for Correlation issues correction
Initial Floorplanning settings
Define Pad Instances (Physical Cells)
Pad Instance co-ordinates
Start Floorplaning
Core Die Size setting
Floorplanning of Pad Instances
Pad Filler Insertion
Define Pad Ring Power Grid
Macro Instance constraints
Macro Instance Array creation
Macro Instance Orientation
Anchor based and Relative Placement of Macro Instances
Macro Instance-Channel settings
Macro Instance placement - Manual
Congestion probability around Macro Instances
Defining Placement Blockages
Running placement
Defining placement strategies
In Place Optimization
Hierarchical Placement
Relative Placement
Congestion analysis and reduction
Macro placement changes to reduce congestion
Standard Cell Placement Constraints
Halo creation for instances
Congestion Analysis with Standard Cell placement
Local Congestion Reduction
Density Screen and Placement Blockage for Standard Cells
Congestion Aware Placement
Re-Check Macro Placement for better Congestion relief
Create Balanced Buffer Trees for High Fanout Net
Defining Power Structure
Logical Power/Ground Connections
Setting Power Network Constraints
Create and Analyze Power Structure
Change Power Constraints and Re-Createto meet IR requirements
Power Ground Pin connection and create Power Rails
Power Network Checks for IR and Resistance
Placement Blockage for Power Network
Incremental Placement
Re-Order Scan connectivity within Chain
Re-Partition Scan connectivity across Chains
SCANDEF file based Scan Chain Re-Ordering
Congestion checks for Overflow again
RC extraction for Net Parasitics
Check Timing for Max Analysis
Run Timing/Congestion aware Placement
Logic Re-Structuring for Placement and Timing
Check Pre-CTS timing based on Global Routing and Detailed Placement
Setting Clock Constraints such as Target Skew Target Insertion Delay
Clock Root Attributes as Stop, Float and Exclude Pins
Building for Generated and Gated Clocks
Don't Touch attribute on existing Clock Tree structure
Defining Clock Buffers and Inverters.
Set Clock Tree Timing DRCs.
Non-Default Clock Routing rules setting
Perform Clock Tree Synthesis and Clock Tree Optimization
Reduce Hold Violations in Data paths and Scan Paths
Clock Tree Building/Optimization for Multiple modes and Multiple PVT corners
Synchronous Clock Balancing
Cross-Clock Delay Balancing
Logical Hierarchy aware CTS
Max and Min Analysis and subsequent Optimization
Fixing Violations
CTS Optimization across other modes and PVT corners (MMMC)
Skew and Insertion Delay checks
Checking Crosstalk on Clock Network
Pre-Route check points
Routing fundamentals
Global Route
Detail Routing
Track Assignment and Route
Refining Detailed Route
Over the Macro routing
Non-Preferred Routing direction
Clock Net Routing
Initial Data path routing
Redundant VIA insertion setting
Post Detailed Route Optimization
Fixing DRC Violations
Post Detailed Route Delay Calculation Algorithms
Crosstalk Delay and Noise Analysis and Fix
Check Leakage Power Dissipation
VT Cell swap for power and timing trade-off
Analyzing Dynamic Power Dissipation based on GAF, SAIF, VCD
Reduce Dynamic power
Meet Total Power target
Functional ECO
Timing ECO
Metal Only ECO using Spare Cells for base frozen designs
2 Hands on projects covering detailed flow from Synthesis, input files, floorplan, power planning, placement, CTS, Routing, SPEF extraction, STA, and Physical verification
Projects based on multi voltage domain
Student will be working on 3rd project independently with trainer/mentor support.
Antenna Rules and Fixes
Critical Area Analysis
Wire Spreading and widening
Setting minimum metal jog length
Filler Cell Insertion
Metal Fill
Timing Checks after Metal Fill
Parasitic Extraction for SignOff timing analysis
Export Netlist
Export GDSII
Facing interviews effectively
Industry work culture
Group discussions

Benefits of eLearning?

 

  • Access to the Instructor - Ask questions to the Instructor who taught the course
  • Available 24/7 - VLSIGuru eLearning courses are available when and where you need them
  • Learn at Your Pace - VLSIGuru eLearning courses are self-paced, so you can proceed when you're ready
Course Instructor
  • Dedicated Trainer Accessible On Phone / Email / Whatsapp
  • Trainer Exp: 15 Years

Price - ₹45,000 + GST

₹50,000    (10% Off)

10 hours left to avail at this price

Edit Template

Course Highlights

Edit Template

TESTIMONIALS

What Our Students Says About Inskill

FAQ

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.