Synthesis and STA Training with hands on project

Home > Course

Synthesis and STA Training with hands on project

About Course

Synthesis Training covers the aspect of converting the design in form of RTL into Technology mapped netlist. Synthesis is an algorithm intensive task consisting of many stages within it requiring various inputs in order to produce a functionally correct netlist. The main part of Synthesis Training consists of reading in the design, converting RTL to Boolean equations through elaboration, then converting the Boolean equations to Generic Mapped Cells and then technology mapped cells from library, setting constraints, optimizing the design, analyzing the results and saving the design database for Placement and Routing stage to take on. Candidates who are interested in exploring opportunities in Synthesis and Front-end STA can undergo this in-depth Synthesis training to get good understanding of RTL constructs, Gate level Netlist, Constraint Development, Latch based designs, pipe lining and re-timing, basic Scan stitching, Setup timing closure, Topography based logic re-structuring, Wire Load Models, Logical Equivalence Checks. Hierarchical Synthesis is another key feature covered in this Synthesis Training Cadence Implementation Suite for Synthesis (as RTL Compiler / Genus) would be used in this Synthesis Training program. Candidates would get hands on work on two full designs.


Synthesis Training Topic covered.
Introduction to synthesis.
Reading RTL in HDL form, dotlibs, SDC
Different types of RTL constructs
Analyzing dotlib files
Elaboration and Generic Synthesis
Understanding DesignWare components and Logical Operators
Clock gating insertion for reducing Dynamic power post CTS
Creating list of dont_touch and dont_use cells
Technology mapped Synthesis and optimization
Scan Insertion techniques
Checking Design for number of instances, area estimate
Check clock reaching clock pins of flops, unclocked flops
Time borrowing concepts for latch based paths
Leakage variants of standard cells LVT, RVT, HVT
Constraints on logical hierarchy boundaries
Setting Max Transition, Max Capacitance, Max Fanout
Push down and pull up timing constraints
Master clocks and generated clocks in design
Estimating uncertainty values, input and output delays in SDC
False path, Multi cycle path exceptions.
Disabling timing loops in design
Logical Equivalence Checking fundamentals (Top level and Hierarchical)
Hand off database to PnR

Demo Videos
Unit NumberTopicDuration (Mins)
1CMOS , Delay , Transition time, Power98
2Basics of Synthesis100
3Input files for PD105
4Basics of PD flow148
5Clock transition , Clock latency and clock skew145
6Setup Analysis143
7Hold Analysis170
8In to reg and reg to out , Types of clocks in design111
9Tool Installation Support and input file discussion162
10PVT conditions , Corners and Explanation of .lib182
11Synthesis Flow . LAB143
12Some usefull synthesis commands and Types of clocks129
13Writing Basic SDC file165
14Discussion on power reduction techniques170
15UPF for multi voltage design123
16Setup Fixing methods and Level shifter analysis130
17Floorplan In ICC2143
18Physical Aware Synthesis166
19Func mode , Test mode and Multi cycle path217
20Writing SDC for different Modes , corners and scenarios147
21Writing SDC for different Modes , corners and scenarios135
22Check_Timing - Hands on discussion160
23Discussion on Synthesis optimization techniques200
24Clock gating check and Handling asynchronus signals132
25Timing Analysis at placement stage143
26Timing Analysis at CTS stage146
27Useful skew - hands130
28Cross talk147
29Shielding and inputs to extract SPEF127
30SPEF extraction using STARRC74
31DMSA flow in Prime time166

 

Curriculum

Introduction to synthesis.
HDL Modeling
Synthesis flow
Constraining the design for timing, area, power
Synthesize the Design
Analyze & Debug the results .
Optimization techniques
Report generation
Save the results and generate interface files to other tools
Introduction to synthesis.
HDL Modeling
Synthesis flow
Constraining the design for timing, area, power
Synthesize the Design
Analyze & Debug the results .
Optimization techniques
Report generation
Save the results and generate interface files to other tools
Introduction to Static Timing Analysis
Understanding Delays & Libraries:
Constraining the design with SDC commands.
Timing Analysis of Different Paths
Analyzing Timing Reports
Timing Exceptions:
Operating Conditions
Check timing by loading different .libs
Post Layout STA:
Multi-Mode Multi-Corner Analysis (MMMC)
Cross Talk (SI) Analysis
Sign-off STA & ECO Flow
Practical STA Issues and Solutions

Benefits of eLearning?

 

  • Access to the Instructor - Ask questions to the Instructor who taught the course
  • Available 24/7 - VLSIGuru eLearning courses are available when and where you need them
  • Learn at Your Pace - VLSIGuru eLearning courses are self-paced, so you can proceed when you're ready
Course Instructor
  • Dedicated Trainer Accessible On Phone / Email / Whatsapp
  • Trainer Exp: 15 Years

Price - ₹29,000 + GST

₹32,500    (10% Off)

10 hours left to avail at this price

Edit Template

Course Highlights

Edit Template

TESTIMONIALS

What Our Students Says About VLSI Guru

FAQ

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.