Functional Verification for experienced engineers

Home > Course

Functional Verification for experienced engineers

About Course

VLSI Front end course for Experienced Engineers (VG-FEDV) course is a 19 weeks course structured to enable experienced engineers gain expertise in functional verification. Majority cases, engineers does not get the hands on exposure to SV & UVM based testbench development, most of times goes in testcase coding and debug, leaving with very minimal SV & UVM expertise. This course is targeted for such engineers, to enable them get hands on exposure to complete Testbench development using SV & UVM.

 

Course includes more than 40+ assignments covering various aspects of Systemverilog, AXI Protocol, AXI VIP Development, Memory Controller verification, UVM constructs, AHB Protocol, AHB UVC Development and AHB Interconnect functional verification. All the aspects of the course are covered using practical examples. Systemverilog course involves more than 250+ examples covering all the aspects of Systemverilog. UVM training involves more than 100+ examples. All the examples and projects are developed from scratch as part of course sessions.

Demo Videos
   
Unit NumberTopicDuration (Mins)
1Course overview, prerequisites, assignments45
2Functional verification overview15
3Driving factors of verification, why SV?12
4TB development : modularity, reusability63
5SV Training objectives5
6Running SV code with Questasim3
7SV language concepts49
8SV language concepts42
9Array basics37
10Verilog language shortcomings14
11SV language features27
12Literals30
13Data types: Integer based47
14String data type77
15Arrays17
16Packed and unpacked arrays43
17Multi dimensional arrays53
18Dynamic arrays61
19Associative arrays basics14
20Associative array methods95
21Queues53
22Operators36
23Operators92
24Operators53
25Operator overloading14
26Object oriented programming basics21
27APB Tx class definition90
28APB tx class methods84
29Ethernet frame definition, Inheritance108
30Ethernet frame methods, static, rand, randc,93
31Pack, unpack, array of packets48
32Properties, variable scope69
33New27
34Class randomize methods78
35User defined methods34
36Encapsulation46
37Polymorphism89
38Polymorphism example81
39This, super16
40OOP summary30
41parameterized classes118
42Static methods and properties19
43Interface class12
44Constant class property8
45Scope resolution operator60
46Copy, $cast98
47$cast35
48Data types: CHandle38
49User defined data types31
50Struct90
51Revision, rand, pattern 0->1->0->1 generation29
52Union12
53Enum32
54Labeling2
55IPS19
56Doubt clarification : medal array10
57Inter process synchronization74
58Event43
59Semaphore68
60Memory testbench setup and interface instantiation85
61Functional coverage in Memory TB98
62CLocking block98
63Interface79
64SPI Interface coding6
65Memory TB with semaphore22
66Memory TB with configurable number of agents110
67Debugging null issue38
68Scoreboarding logic57
69Fork, join57
70Scheduling scemantics10
71Program13
72Debug session2
73Task, functions42
74System task, functions13
75Constraints and randomization27
76Constraints types92
77Constraints virtual nature, randcase, constraint types59
78Inline and Implication constraints example14
79Constraints writing examples - interview focused57
80Constraints example for multi chip select design40
81Functional coverage introduction88
82Functional coverage: covergroups, bins, cross coverage63
83Functional coverage - Instance coverage10
84FIFO Functional coverage10
85Coverage intersect60
86Coverage option26
87Coverage options, transition coverage40
88Coverage system tasks2
89Code coverage10
90Coverage analysis34
91Code coverage analysis using coverage report68
92SV Conditional coverage unmasking condition11
93Assertions: Introduction, types, examples, sequences, properties, ## operator,162
94Assertion examples76
95Assertion debug and analysis12
96Listing down assertions for Interrupt controller8
97DPI, Compiler directives, VCD, Libraries107
98SV Package significance3
99Common array methods, conversion methods, Callbacks detailed explanation148
100Ethernet Loopback Design98
101SYSTEM VERILOG 
102UVM TB Simulation on EDA PLAYGROUND19
103Agenda, course schedule11
104What is UVM17
105Need for methodology43
106UVM overview, OOP basics27
107UVM TB architecture14
108Factory basics12
109UVM TB example49
110Memory TB development95
111Memory TB development : Coverage, Monitor87
112Memory TB development : Testcase coding137
113UVM Questions61
114Doubts, Sequence layering70
115UVM Root31
116UVM Objection basics9
117revision, UVM base classes30
118Command line processor (uvm_cmdline_processor)21
119Doubt Clarification3
120UVM TB example contd, Objections149
121revision, Question-answers55
122reporting classes58
123UVM common phases30
124UVM command phases - Question & answers16
125Factory (uvm_factory)19
126Revision62
127UVM scheduled phases - run sub phases3
128Factory, TB Development89
129UVM config DB80
130question - answers and revision16
131configuration database (config_db)50
132resource db108
133TLM Basics, TLM Push model48
134revision, questions, config_db24
135TLM - Pull, FIFO and Broadcast model83
136TLM TB connection types22
137TLM Connection assignment solution61
138Driver - Sqr communication17
139Test library, Sequnece library, Sequence-Sequencer relation84
140default_sequence in UVM sequencer23
141sequence, virtual sequencer32
142Virtual sequencer and virtual sequences111
143UVM doubt clarification46
144Asynchronous FIFO UVM TB Development107
145Asynchronous FIFO TB : Scoreboard development, virtual sequencer80
146UVM ESSENTIALS 
147AXI Protocol introduction206
148AXI Protocol features109
149AXI Protocol advanced features103
150AXI Protocol advanced features65
151VIP development concepts, VIP template coding45
152VIP BFM and Generator coding, Testcase development97
153VIP monitor and coverage coding, Coverage report analysis161
154Reference model and checker coding,161
155Assertions coding, Advanced feature implementation83
156AXI advanced feature implementation, Slave implementation as a slave VIP60
157Advanced feature checking25
158AXI UVC Development68
159AXI Scoreboard coding - 2 different styles183
160AXI Scoreboard integration steps6
161AXI, AHB interview questions4
162AXI Interconnect development concepts6
163AXI WRAP FIXED Burst Implementation concepts52
164AXI VIP 
165Protocol overview109
166Protocol advanced concepts153
167Ethernet MAC design specification understanding105
168Register field description93
169Register fields and transmit, receiver descriptor overview98
170Feature list down, testplan development124
171Understanding DMA descriptors using AXI protocol44
172testplan development, functional coverage listing down, TB architecture development108
173Testbench development lab session130
174TB development and cleanup90
175TB development lab session99
176register access testcase bringup129
177register access testcase debug, functional testcase coding117
178Lab session97
179Register access testcase debug lab session109
180Functional testcase bringup129
181Lab session104
182MAC receive testcase bringup, debug concepts132
183Lab session (Clean up required)125
184FD Transmit test bringup80
185Lab session104
186Lab session107
187Monitor and register model development152
188Part1: Register model and reference model development107
189Part2: Lab session39
190Reference model, testcase debug, regression setup138
191Rx Flow control test case debug80
192Collision detection testcase bringup73
193Tx and Rx testcase bringup90
MODULE ETHERNET MAC  
Curriculum

Classes : Object Oriented Programming
Arrays, Data Types, Literals, Operators
Scheduling Semantics, Inter process Synchronization
Processes, Threads, Tasks and Functions
Randomisation, Constraints
Interface, Clocking blocks, Program Block
Functional Coverage
Assertion Based Verification
System Tasks & Functions
Compiler Directives
DPI
AXI4.0 : Features, Signals, Timing Diagrams
AXI VIP Architecture Development
VIP Component Coding
AXI Slave model test case development
Test Case debugging
SoC Verification Concepts
Module Level Verification
Constrained Random Verification
Coverage Driven Verification
Directed Verification
Assertion Based Verification
Specification analysis
Verification Plan creation
Feature & Scenario Listing down
TB architecture creation
Building Top level verification environment
TB component coding and integration
Sanity test case and environment bring up
Complete test case coding
Building regression test suite
Functional coverage and code coverage analysis

Functional Verification for experienced engineers

About Course

VLSI Front end course for Experienced Engineers (VG-FEDV) course is a 19 weeks course structured to enable experienced engineers gain expertise in functional verification. Majority cases, engineers does not get the hands on exposure to SV & UVM based testbench development, most of times goes in testcase coding and debug, leaving with very minimal SV & UVM expertise. This course is targeted for such engineers, to enable them get hands on exposure to complete Testbench development using SV & UVM. Course includes more than 40+ assignments covering various aspects of Systemverilog, AXI Protocol, AXI VIP Development, Memory Controller verification, UVM constructs, AHB Protocol, AHB UVC Development and AHB Interconnect functional verification. All the aspects of the course are covered using practical examples. Systemverilog course involves more than 250+ examples covering all the aspects of Systemverilog. UVM training involves more than 100+ examples. All the examples and projects are developed from scratch as part of course sessions.

Curriculum

+
Classes : Object Oriented Programming
Arrays, Data Types, Literals, Operators
Scheduling Semantics, Inter process Synchronization
Processes, Threads, Tasks and Functions
Randomisation, Constraints
Interface, Clocking blocks, Program Block
Functional Coverage
Assertion Based Verification
System Tasks & Functions
Compiler Directives
DPI
+
AXI4.0 : Features, Signals, Timing Diagrams
AXI VIP Architecture Development
VIP Component Coding
AXI Slave model test case development
Test Case debugging
+
SoC Verification Concepts
Module Level Verification
Constrained Random Verification
Coverage Driven Verification
Directed Verification
Assertion Based Verification
+
Specification analysis
Verification Plan creation
Feature & Scenario Listing down
TB architecture creation
Building Top level verification environment
TB component coding and integration
Sanity test case and environment bring up
Complete test case coding
Building regression test suite
Functional coverage and code coverage analysis
+
What is UVM?
Need for Methodology?
UVM Overview
OOPs Basics
UVM TB Architecture
Phasing
UVM Base classes
Simple UVM Test Example
UVM Command line processor
Reporting classes
Factory
Config DB, Resource DB
TLM 1.0
Sequence, Sequencer
Monitor and Scoreboard Basics
Virtual Sequencer
AHB Protocol
AHB UVC Development
Monitor and Scoreboard
Sequence library
TLM2.0
Synchronization classes
Event, Barrier
Policy classes
Printer, comparator
Packer, Recorder
Pools
Phase jumping
Register Layer classes
Callbacks
Comparator
Heartbeat
Report catcher
Register Layer development for USB2.0 core
AHB Interconnect model functional verification
40+ detailed assignments covering all aspects of SV & UVM.

Benefits of eLearning?
  • Access to the Instructor - Ask questions to the Instructor who taught the course
  • Available 24/7 - VLSIGuru eLearning courses are available when and where you need them
  • Learn at Your Pace - VLSIGuru eLearning courses are self-paced, so you can proceed when you're ready
Course Instructor
  • Dedicated Trainer Accessible On Phone / Email / Whatsapp
  • Trainer Exp: 15 Years

Price - ₹39,000 + GST

₹43,500    (10% Off)

10 hours left to avail at this price

Edit Template

Course Highlights

Edit Template

TESTIMONIALS

What Our Students Says About Inskill

FAQ

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.