Functional Verification training for freshers

Home > Course

Functional Verification training for freshers

About Course

VLSI Front end course for freshers (VG-FEDV) is 6 months course structured to enable BTech/BE and MTech/ME freshers gain in depth exposure to all the aspects of VLSI front end Design and verification. VLSI front end course ensures that a fresher is prepared on all the essential aspects of VLSI front end domain including ASIC flow, advanced digital design, CMOS, SOC design and verification concepts, Verilog, Systemverilog, UVM, Linux, revision management and scripting. Course also includes training on soft skill for effective interview performance.

 

Lack of fundamentals in advanced digital design, analog design and Verilog for design & verification becomes a major deterrent for freshers in finding right career opportunities. VLSI Front end training course offered in both classroom and online mode ensures that fresher is empowered with all the essential skill set required for various job roles in VLSI front end domain. Course is completely practical oriented with each aspect of course involving multiple hands on projects. All the courses are offered by trainers with 10+ years of relevant experience. Student progress is tracked using 75 detailed assignments covering all the aspects from digital design, VLSI flow, SOC design & verification, RTL coding, Verilog, System verilog, RTL debug, UNIX, and scripting.


VLSI Design flow(ASIC flow) course covers complete ASIC flow exposure from specifications till GDSII including Architecture, Specifications, RTL coding, lint checks, RTL integration, connectivity checks, functional verification, synthesis, Gate level simulations, formal equivalence checks, STA, placement and routing, clock tree synthesis, DFT, custom layout and post silicon validation. SOC Design and verification focus on SOC design concepts, SOC architecture, SOC verification concepts and differences when compared to module level verification.


Advanced Digital Design course focus on all the digital design concepts including combinational logic, sequential logic, circuit design concepts, memory types and other essential things focused in majority of fresher interviews. Course assume minimal exposure to digital design concepts, it starts from basic concepts till advanced concepts including clock domain crossing, synchronizers, timing violation fixing, etc.
Verilog and RTL coding course focus on all Verilog language constructs from practical usage perspective. Training involves 25+ design coding examples focused in fresher interviews.


Systemverilog course gives fresher with required exposure to advanced functional verification concepts. All language constructs are covered with detailed coding examples involving more than 200 examples. Course also offers exposure to standard on-chip communication protocols and verification IP development for AXI. UVM essentials course will emphasis on UVM language constructs and UVC development for AHB Protocol.


RTL debug course will focus on training student with important debug concepts including schematic tracing, RTL tracing, RTL & TB coding issues, etc.
Linux OS course ensures that student gets accustomed to industry work environment. Training also includes exposure to Makefile, revision management and all essential UNIX concepts.


Scripting course will focus PERL essential concepts. It will help student gain exposure to file management, regular expressions, Object oriented PERL, PERL modules and PERL usage in industry.


Soft skill training will prepare student on how to face interviews effectively, right body language, etc.
Course is also targeted for engineers working in non-VLSI domains and planning to make career in VLSI.
Students planning to pursue complex projects after this course can do by paying a nominal fee. Institute offers more than 40+ other projects based on industry standard protocols like USB3.0, PCIe, UFS, SATA, DDR, DMA, AMBA, Bridge and Ethernet MAC etc. Student can opt for these projects at a nominal fee.

Demo Videos
     
Unit NumberTopicDuration(mins)
1Introduction to digital system25
2Number system introduction and Radix conversion61
3Compliments of the number systems and 1 s and 2 s Compliments93
49 s and 10 s Compliments, 7 s and 8 s Compliments and 15 s and 16 s Compliments65
5Gates and its truth table and Why NAND is preferred over NOR gate72
6NAND and NOR Realization67
7SOP and POS form, minterm, Maxterm canonical SOP and POS form56
8SOP And POS Form, Minterm, Maxterm Canonical SOP And POS Form (55:23)26
9Boolean equations Switching equations100
10Boolean minimization techniques and K -map(2-variables,3-variables,4-variables) and Logisim tool introduction55
11Implicants, PI, EPT, NEPT and RPI26
12K -map(5-variables,6-variables)27
13K -map with don t care functions50
14Building of combinational logic circuits (code converters)43
15Code converters continues64
16Arithmetic circuits (HA, FA and Parallel Adder)62
17Subtractors using compliments (HS, FS)67
18MSI circuits (Multiplexers) and Gates using Muxs54
19Boolean function Implementation using Mux60
20FA using Mux and Mux tree62
21Demultiplexers41
22Decoders40
23Decoders configurations and priority encoders72
24Comparators57
25Introduction to sequential logic ckts, Basic storage element (NOR latch)69
26NAND latch45
27Clocked SR latch, Clocked D latch, Clocked JK latch, Clocked T latch, Racing problems84
28Master-Slave combination and Edge triggering Flip flops79
29Revision of latch, Clocked SR latch, Clocked D latch, Clocked JK latch, Clocked T latch, Racing problems59
30Master-Slave combination and its limitations7
31Edge triggering and its advantages51
32Asynchronous inputsOverriding inputs of Flip flops, Characteristic equations and Excitation table of Flip flops65
33Flip flop conversions18
34Applications of the Flip flops (Counters - Asynchronous up and down counters)68
35Asynchronous Mod-N counters60
36Asynchronous updown counters, Timing considerations of the flip flops and limitations of the Asynchronous counter51
37Design of synchronous counters87
38Registers, shift registers and its configurations, universal shift registers62
39Counters based on shift registers (Ring and Johnson counters)51
40Frequency divider circuits100
41Frequency multiplier and Edge detector circuits36
42Introduction to FSM, Implicit and Explicit FSM62
43FSM sequence detector of melay and moore model102
44Problems on FSM82
45Assignment discussion34
46Synchronizers to change the pipelining.25
47Synchronizers to change the pipelining.98
48FSM-Mealy and moore problems,doubt discussion102
49D Flip flop using transmission gate26
ModuleDIGITAL 
50GVIM for Verilog coding skills149
51Implementing combinational logic using Verilog177
52Implementing combinational logic using Verilog128
53Introduction to Verilog language constructs124
54Vector, Integer, and Real data types40
55Clock generation82
56Arrays, $Display, $Moniter55
57SEED6
58String13
59Hierarchical modeling60
60Parameter20
61Memory92
62Memory back door access86
63Task and function45
64Automatic Task Functions15
65Operators - Part 143
66Operators - Part 251
67Hierarchical modeling24
68Port connections10
69Statement process28
70Abstraction levels73
71Blocking non blocking55
72Synthesis examples5
73Procedural statements46
74Prime number generation20
75Pipelining35
76Shift register CDC15
77Intra and Inter delay statements13
78System task and system functions36
79Compiler directives17
80XMR3
81Signal Strength6
82Primitives6
83VPI and PLI5
84revision4
85Scheduling delay questions12
MODULEVERILOG 
86Course overview, prerequisites, assignments45
87Functional verification overview15
88Driving factors of verification, why SV?12
89TB development : modularity, reusability63
90SV Training objectives5
91Running SV code with Questasim3
92SV language concepts49
93SV language concepts42
94Array basics37
95Verilog language shortcomings14
96SV language features27
97Literals30
98Data types: Integer based47
99String data type77
100Arrays17
101Packed and unpacked arrays43
102Multi dimensional arrays53
103Dynamic arrays61
104Associative arrays basics14
105Associative array methods95
106Queues53
107Operators36
108Operators92
109Operators53
110Operator overloading14
111Object oriented programming basics21
112APB Tx class definition90
113APB tx class methods84
114Ethernet frame definition, Inheritance108
115Ethernet frame methods, static, rand, randc,93
116Pack, unpack, array of packets48
117Properties, variable scope69
118New27
119Class randomize methods78
120User defined methods34
121Encapsulation46
122Polymorphism89
123Polymorphism example81
124This, super16
125OOP summary30
126parameterized classes118
127Static methods and properties19
128Interface class12
129Constant class property8
130Scope resolution operator60
131Copy, $cast98
132$cast35
133Data types: CHandle38
134User defined data types31
135Struct90
136Revision, rand, pattern 0->1->0->1 generation29
137Union12
138Enum32
139Labeling2
140IPS19
141Doubt clarification : medal array10
142Inter process synchronization74
143Event43
144Semaphore68
145Memory testbench setup and interface instantiation85
146Functional coverage in Memory TB98
147CLocking block98
148Interface79
149SPI Interface coding6
150Memory TB with semaphore22
151Memory TB with configurable number of agents110
152Debugging null issue38
153Scoreboarding logic57
154Fork, join57
155Scheduling scemantics10
156Program13
157Debug session2
158Task, functions42
159System task, functions13
160Constraints and randomization27
161Constraints types92
162Constraints virtual nature, randcase, constraint types59
163Inline and Implication constraints example14
164Constraints writing examples - interview focused57
165Constraints example for multi chip select design40
166Functional coverage introduction88
167Functional coverage: covergroups, bins, cross coverage63
168Functional coverage - Instance coverage10
169FIFO Functional coverage10
170Coverage intersect60
171Coverage option26
172Coverage options, transition coverage40
173Coverage system tasks2
174Code coverage10
175Coverage analysis34
176Code coverage analysis using coverage report68
177SV Conditional coverage unmasking condition11
178Assertions: Introduction, types, examples, sequences, properties, ## operator,162
179Assertion examples76
180Assertion debug and analysis12
181Listing down assertions for Interrupt controller8
182DPI, Compiler directives, VCD, Libraries107
183SV Package significance3
184Common array methods, conversion methods, Callbacks detailed explanation148
185Ethernet Loopback Design98
MODULESYSTEM VERILOG 
186UVM TB Simulation on EDA PLAYGROUND19
187Agenda, course schedule11
188What is UVM17
189Need for methodology43
190UVM overview, OOP basics27
191UVM TB architecture14
192Factory basics12
193UVM TB example49
194Memory TB development95
195Memory TB development : Coverage, Monitor87
196Memory TB development : Testcase coding137
197UVM Questions61
198Doubts, Sequence layering70
199UVM Root31
200UVM Objection basics9
201revision, UVM base classes30
202Command line processor (uvm_cmdline_processor)21
203Doubt Clarification3
204UVM TB example contd, Objections149
205revision, Question-answers55
206reporting classes58
207UVM common phases30
208UVM command phases - Question & answers16
209Factory (uvm_factory)19
210Revision62
211UVM scheduled phases - run sub phases3
212Factory, TB Development89
213UVM config DB80
214question - answers and revision16
215configuration database (config_db)50
216resource db108
217TLM Basics, TLM Push model48
218revision, questions, config_db24
219TLM - Pull, FIFO and Broadcast model83
220TLM TB connection types22
221TLM Connection assignment solution61
222Driver - Sqr communication17
223Test library, Sequnece library, Sequence-Sequencer relation84
224default_sequence in UVM sequencer23
225sequence, virtual sequencer32
226Virtual sequencer and virtual sequences111
227UVM doubt clarification46
228Asynchronous FIFO UVM TB Development107
229Asynchronous FIFO TB : Scoreboard development, virtual sequencer80
MODULE UVM ESSENTIALS 
230AXI Protocol introduction206
231AXI Protocol features109
232AXI Protocol advanced features103
233AXI Protocol advanced features65
234VIP development concepts, VIP template coding45
235VIP BFM and Generator coding, Testcase development97
236VIP monitor and coverage coding, Coverage report analysis161
237Reference model and checker coding,161
238Assertions coding, Advanced feature implementation83
239AXI advanced feature implementation, Slave implementation as a slave VIP60
240Advanced feature checking25
241AXI UVC Development68
242AXI Scoreboard coding - 2 different styles183
243AXI Scoreboard integration steps6
244AXI, AHB interview questions4
245AXI Interconnect development concepts6
246AXI WRAP FIXED Burst Implementation concepts52
MODULE AXI VIP 
247Protocol overview109
248Protocol advanced concepts153
249Ethernet MAC design specification understanding105
250Register field description93
251Register fields and transmit, receiver descriptor overview98
252Feature list down, testplan development124
253Understanding DMA descriptors using AXI protocol44
254testplan development, functional coverage listing down, TB architecture development108
255Testbench development lab session130
256TB development and cleanup90
257TB development lab session99
258register access testcase bringup129
259register access testcase debug, functional testcase coding117
260Lab session97
261Register access testcase debug lab session109
262Functional testcase bringup129
263Lab session104
264MAC receive testcase bringup, debug concepts132
265Lab session (Clean up required)125
266FD Transmit test bringup80
267Lab session104
268Lab session107
269Monitor and register model development152
270Part1: Register model and reference model development107
271Part2: Lab session39
272Reference model, testcase debug, regression setup138
273Rx Flow control test case debug80
274Collision detection testcase bringup73
275Tx and Rx testcase bringup90
Curriculum

Specification
RTL coding, lint checks
RTL integration
Connectivity checks
Functional Verification
Synthesis & STA
Gate level simulations
Power aware simulations
Placement and Routing
DFT
Custom layout
Post silicon validation
Digital Design basics
combinational logic
sequential logic, FF, latch, counters
Memories
Refer to Advanced digital design training page for detailed course contents
www.vlsiguru.com/digital-design-complete
SoC Verification Concepts
Module Level Verification
Constrained Random Verification
Coverage Driven Verification
Directed Verification
Assertion Based Verification
Verilog language constructs
Verilog design coding examples covering more than 20 standard designs
www.vlsiguru.com/verilog-training/
Classes : Object Oriented Programming
Arrays, Data Types, Literals, Operators
Scheduling Semantics, Inter process Synchronization
Processes, Threads, Tasks and Functions
Randomization, Constraints
Interface, Clocking blocks, Program Block
Functional Coverage
Assertion Based Verification
System Tasks & Functions
Compiler Directives
DPI
AXI Protocol Concepts : Features, Signals, Timing Diagrams
AXI VIP Architecture Development
VIP Component Coding
AXI Slave model test case development
Test Case debugging
Schematic tracing
RTL tracing
FIxing RTL and TB syntax and logical errors
Reading design specification
Understanding design architecture, sub blocks, register definitions, interfaces
Listing down features, scenarios
Develop testplan
Functional coverage point list down
Develop Testbench architecture
Testbench component coding and integration
Skeletal TB structure coding
Functional coding
Develop sanity testcases(smoke testcases)
Bringup testbench environment using sanity testcases
Develop rest of testbench components
Develop functional testcases
Setup regression using Python script
Verification closure
Debug regression failures
Functional, Code and assertion coverage analysis
How UVM evolved?
OVM, AVM, RVM, NVM, eRM
UVM Testbench Architecture
UVM Base classes
UVM Macros
UVM Messaging
UVM simulation phases
TLM 1.0
Config db, Resource db, Factory
Sequences, Sequence Library
Virtual Sequences and virtual sequencers
Developing scoreboard in UVM
Developing testcases in UVM
Command line processor
UVC development for APB protocol
UVC development for AHB protocol
Developing configurable UVC's
SOC Architecture overview
SOC design concepts
SOC verification concepts
SOC Components
SOC use cases
SOC Testbench architecture
SOC Test Case coding
SOC verification differences with module verification
Shells
File and directory management
User administration
Environment variables
Commonly used commands
Shell scripting basics
SEd and AWK
Revision management
Makefiles
PERL Interpreter
Variables
File management
Subroutines
Regular expressions
Object oriented PERL
PERL modules
Facing interviews effectively
industry work culture
Group discussions
100+ detailed assignments covering all aspects from VLSI Flow, SOC Design, Verilog, Advanced digital design, System verilog, AXI protocol, VIP Development, RTL debug, UNIX and PERL scripting

Benefits of eLearning?
  • Access to the Instructor - Ask questions to the Instructor who taught the course
  • Available 24/7 - VLSIGuru eLearning courses are available when and where you need them
  • Learn at Your Pace - VLSIGuru eLearning courses are self-paced, so you can proceed when you're ready
Course Instructor
  • Dedicated Trainer Accessible On Phone / Email / Whatsapp
  • Trainer Exp
    15 Years

Price - ₹45,000 + GST

₹50,000    (10% Off)

10 hours left to avail at this price

Edit Template

Course Highlights

Edit Template

TESTIMONIALS

What Our Students Says About Inskill

FAQ

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.

Lorem ipsum dolor sit amet, consectetur adipisicing elit. Optio, neque qui velit. Magni dolorum quidem ipsam eligendi, totam, facilis laudantium cum accusamus ullam voluptatibus commodi numquam, error, est. Ea, consequatur.